欢迎您访问52IJ教育培训网,今天小编为你分享的学习资料方面的学习知识是通过网络精心收集整理的:“交通灯控制电路设计_VHDL设计一个交通灯控制电路求代码,其技术要求如下: ...”,注意:所整理内容不代表本站观点,如你有补充或疑问请在正文下方的评论处发表。下面是详细内容。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity jiaotongdeng is
Port ( rst : in std_logic;
clk : in std_logic;
fmq : out std_logic;
led : out std_logic_vector(5 downto 0);
Ledb : out std_logic_vector(1 downto 0);
LedOut : out std_logic_vector(7 downto 0));
end jiaotongdeng;
architecture Behavioral of jiaotongdeng is
signal count1: std_logic_vector(25 downto 0);
signal count2: std_logic_vector(7 downto 0);
signal countdelay: std_logic_vector(25 downto 0);
signal count: std_logic_vector(1 downto 0);
signal datain: std_logic_vector(3 downto 0);
signal data1 : std_logic_vector(3 downto 0);
signal data2 : std_logic_vector(3 downto 0);
type st is(westg,westy,southg,southy);
signal my_st:st;
begin
process(clk,rst)
begin
if rst='0' then
count1'0');
count
- 评论列表(网友评论仅供网友表达个人看法,并不表明本站同意其观点或证实其描述)
-
